中易网

用VHDL语言编写七段显示译码器,输入端口D输入0~9二进制数,译出的数码管显示码由X端口输出

答案:2  悬赏:40  
解决时间 2021-02-15 23:53
用VHDL语言编写七段显示译码器,输入端口D输入0~9二进制数,译出的数码管显示码由X端口输出
最佳答案
共阴极数码管:
library ieee;
use ieee.std_logic_1164.all;

entity seven_seg is
port(
D :in std_logic_vector(3 downto 0); --4 bits latch input
X:out std_logic_vector(6 downto 0); --to seven segment
);
end seven_seg;
architecture arch_seven_seg of seven_seg is
begin
process(D)
begin
case D is
when "0000"=> X <="1111110"; --0 number to show
when "0001"=> X <="0110000"; --1
when "0010"=> X <="1101101"; --2
when "0011"=> X <="1111001"; --3
when "0100"=> X <="0110011"; --4
when "0101"=> X <="1011011"; --5
when "0110"=> X <="1011111"; --6
when "0111"=> X <="1110000"; --7
when "1000"=> X <="1111111"; --8
when "1001"=> X <="1111011"; --9
when OTHERS=> X <=(OTHERS => '0');
end case;
end process;
end arch_seven_seg;
全部回答
VHDL的吗?我这有共阳极的。
我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
陕西华山旅游攻略(不住宿,晚上爬白天下来)
巩巩自选我想知道这个在什么地方
开通美拍直播花钱吗
请教T淋巴细胞活化所用的刺激因子
原装b贝尔丰BF9200单核刷机文件怎么用
女朋友被别人抱了怎么办
塑钢窗户下雨进水怎么办窗户是死的
手游有哪些正规交易平台
汽车门泵伸缩杆漏气怎么修开门漏气,关门不漏
柴油电喷喷油器怎么翻新
小桃源(李白) 解释
给儿子取名“兰鑫雨”,含义好吗?
我的电脑硬盘用bitlocker加密了,加密时用了5
上海开艺设计集团有限公司乌鲁木齐分公司我想
有一首歌,部分歌词是说彼此不够爱,才会分开
推荐资讯
六上英语书第49页let's practise一问一
急求《海底两万里》中的5句拟人句!!!!
私人住宅的想办半永久工作室方便办营业执照么
小说,某某武神,主角叶天,叶家
关于天龙八部命中
蓝翔厨师一年制学费是多少,本人学历初中
沧州八中小升初什么时候开学,可以告诉一下吗
蓝色理想3号门地址在什么地方,想过去办事
中国联通新机汇电讯地址有知道的么?有点事想
有缘无分是什么意思啊?
阿利茄汁面长垣店在哪里啊,我有事要去这个地
一航商贸地址在哪,我要去那里办事
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?