中易网

基于VHDL语言的几种消抖电路的设计

答案:2  悬赏:50  
解决时间 2021-04-10 09:15
基于VHDL语言的几种消抖电路的设计
最佳答案
基于此介绍了基于VHDL语言的计数器型消抖电路、D触发器型消抖电路、状态机型消抖电路的工作原理、相关程序、波形仿真及结果分析,并下栽到EP2C35F672C8芯片上进行验证,消抖效果良好,性能稳定,可广泛用于FPGA的按键电路中。
关键词:VHDL;消抖;FPGA0 引言按键开关是许多电子产品不可缺少的输入设备,在智能化电子产品中,按键开关作为人机交互的主要器件之一,可以实现人机对话,完成各种功能操作,而机械式按键开关由于其低成本、高可靠性被广泛使用。在按键操作时,机械触点的弹性及电压突跳等原因,在触点闭合或开启的瞬间会出现电压抖动,实际应用中如果不进行处理将会造成误触发。常见的硬件消抖方法有:利用电容的充放电原理;利用RS触发的保持功能;由同相器组成的积分去抖电路;用反相器组成的翻转式去抖电路;不可重复触发单稳态等等。本文采用VHDL语言设计了几种按键开关的消抖电路。
1 计数器型消抖电路
1.1 计数器型消抖电路(一)
计数器型消抖电路(一)是设置一个模值为(N+1)的控制计数器,clk在上升沿时,如果按键开关key_in='1',计数器加1,key_in='0' 时,计数器清零。当计数器值为2时,key_out输出才为1,其他值为0时。计数器值为N时处于保持状态。因此按键key_in持续时间大于N个clk时钟周期时,计数器输出一个单脉冲,否则没有脉冲输出。如果按键开关抖动产生的毛刺宽度小于N个时钟周期,因而毛刺作用不可能使计数器有输出,防抖动目的得以实现。clk的时钟周期与N的值可以根据按键抖动时间由设计者自行设定。
主要程序结构如下:
图1是N为3的波形仿真图,当按键持续时间大于3个时钟周期,计数器输出一个单脉冲,其宽度为1个时钟周期,小于3个时钟周期的窄脉冲用作模拟抖动干扰,从图1可以看出,抖动不能干扰正常的单脉冲输出。 该方案的特点是能很好消除按键抖动产生的窄脉冲,还可以滤去干扰、噪音等其他尖峰波,但遇到脉宽大于N个Tclk时钟周期的干扰、噪音等时会有输出从而产生误操作,而对于按键操作要求按键时间必须大于N个Tclk时钟周期,否则按键操作也没有输出。1.2 计数器型消抖电路(二)
计数器型消抖电路(二)是控制计数器工作一个循环周期(N+1个状态),且仅在计数器为0时输出为“1”。电路设计了连锁控制设施。在计数器处于状态0时,此时若有按键操作,则计数器进入状态1,同时输出单脉冲(其宽度等于时钟周期)。计数器处于其他状态,都没有单脉冲输出。计数器处于状态N时,控制en='0',导致计数器退出状态N,进入状态0。计数器能否保持状态0,取决于人工按键操作,若按键key_ in='1',控制en='1'(计数器能正常工作),key_in='0',计数器状态保持。显见计数器处于状态0,人工不按键,则计数器保持状态0。
全部回答
异步边沿采样法,网上好像有很多吧
我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
刺客信条3 怎么才能连击~
电脑QQ发文件到手机QQ上遇到的问题
掼蛋中A是硬打的吗?
计算机等级考试,序列号
迈思德地板平顶山旗舰店我想知道这个在什么地
开关品牌的代理商,每次从厂家下单发货后,厂
移动数据4G无法上网,请问该怎么解决
23岁女人左胸大右胸小是咋回事呀? 有没有解
本人想加盟个牛杂店,在网上看到很多,不知道
跨省的两地,若女方是离异但是户口本上是未婚
雅思6.5得准备多长时间
donjon红酒2014多少钱
萨兰纳尔附近的机器人控制台在哪?
玩英雄联盟不流畅什么原因?
qq号附近的人可以视频吗
推荐资讯
谐振电路工作原理
I feel really tired··Do not want to bury
最近要买房了。目前看上了两个楼,香澜半岛和
成年人的小肠有多长
appple store自动订阅怎么取消ios7
我有微信号,是手机号和QQ通用的,有什么办法
谁知道齐齐哈尔医学院的招生办公室电话?
苹果手机,找朋友软件,根据对方使用的时间和
上午的飞机时住在郑州市区还是住在新郑机场附
谁能把我加入你的QQ校友班级?05年入学的!玩
正在用老中医去斑产品但是用后有点痒是怎么回
这只猫它到底是在上楼还是下楼???????
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?