中易网

VHDL语言求改错,功能是实现12个LED灯的2种闪烁方式

答案:2  悬赏:70  
解决时间 2021-02-17 04:57
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity deng is
port(niu:in std_logic_vector(1 to 5);
temp:out std_logic_vector(1 to 12);
w:out std_logic_vector(1 to 7);
cp:in std_logic);
end;
architecture one of deng is
signal z1:std_logic_vector(1 to 5);
signal z2:std_logic;
begin
process(niu)
begin
if niu(1)='1' then niu<="10000";
w<="0110000";
process(cp)
begin
if z1<13 then
temp(z1)<='1';
z1<=z1+1;
elsif z1>12 then
temp(z1-12)<='0';
z1<=z1+1;
elsif z1>24 then
z1<=0;
end if;
end process;
elsif niu(2)='1' then niu<="01000";
w<="1101101";
process(cp)
begin
if rising_edge(cp) then
z2<=not z2;
if z2='0' then
temp<="101010101010";
else
temp<="010101010101";
end if;
end if;
end process;
end if;
end process;
end;
最佳答案
你这里面要么是少了end if;要么是少了end process;,还有就是进程不能套进程,你这if语句里面还有进程!! 比如说process(cp)就在if和elsif之间,去掉
全部回答
任务占坑
我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
请问中心句、总起句和总括句有什么区别?
南苑小区在什么地方啊,我要过去处理事情
去柬埔寨,是换美元吗?机场有24小时店吗?今
M4内六角杯头螺丝 能挂得起多少公斤物体?
解二元一次方程组 {2x+3y=4 ① 3x+2y=2m-3 ②
如果有一个项目,一年收入纯利25万左右,你愿
佳美超市牌楼店这个地址在什么地方,我要处理
开车右转不受信号灯管制吗
舌头上齿痕很多,舌苔很厚有口臭。怎么办
西塘乡村故事我想知道这个在什么地方
荣威i6 2017款 16T 自动旗舰版怎么样
(99+h):(165+h)=0.618怎么算????
新买的地板胶铺在地砖上凹凸不平是怎么回事
男友经常跟两个男生和一个女主播玩吃鸡,有说
arcgis怎样建立网络数据集
推荐资讯
mysql中取出数据如何比较???
快递从武汉到泰州需要多久能到?
求数码宝贝6的主题曲和插曲
歌词有一句微笑看你写的信
Razen处理器玩游戏到底好不好,兼容怎么样
快捷快递(解放北二路127-2号附近快捷快递)地
如何处一个兄弟啊
剑三qc凭虚可以躲追命吗
求LED灯科普
翻译乌尔都语用什么软件好?
桥梁怎么做
红秧树长什么样子
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?