中易网

Error (10500): VHDL syntax error at FB_ADDER.vhd(31) near text ";"; expecting "<=&

答案:2  悬赏:80  
解决时间 2021-02-20 07:52
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY FB_ADDER IS
PORT (CLK1: IN STD_LOGIC;
A, B : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
S : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
COUT : OUT STD_LOGIC);
END ENTITY FB_ADDER;
ARCHITECTURE add4 OF FB_ADDER IS
COMPONENT DFF4
PORT (CLK : IN STD_LOGIC;
D : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
Q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0));
END COMPONENT ;
COMPONENT adder4
PORT (cin : IN STD_LOGIC;
a, b : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
s : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
Cout : OUT STD_LOGIC);
END COMPONENT ;
COMPONENT DFF1
PORT (CLK, D : IN STD_LOGIC;
Q : OUT STD_LOGIC);
END COMPONENT ;
SIGNAL ai, bi, soi : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL cou :STD_LOGIC;
BEGIN
u1 : DFF4 PORT MAP(D=>A,Q=>ai,CLK=>CLK1);
u2 : DFF4 PORT MAP(D=>B,Q=>bi,CLK=>CLK1);
u3 : DFF4 PORT MAP(D=>soi,Q=>S,CLK=>CLK1);
u4 :adder4 PORT MAP(cin<='0',a=>ai,b=>bi,s=>soi,Cout=>cou);
u5 : DFF1 PORT MAP(D=>cou,Q=>COUT,CLK=>CLK1 );
END ARCHITECTURE add4;

Error (10500): VHDL syntax error at FB_ADDER.vhd(31) near text ?
Error (10500): VHDL syntax error at FB_ADDER.vhd(31) near text "?; expecting "(", or "'", or "."
Error (10500): VHDL syntax error at FB_ADDER.vhd(31) near text ?
Error (10500): VHDL syntax error at FB_ADDER.vhd(31) near text ";"; expecting "<="
最佳答案
这一句有错误:u4 : adder4 PORT MAP(cin<='0',a=>ai,b=>bi,s=>soi,Cout=>cou);

你需要在结构体开始的地方声明一个信号,例如signal GND:std_logic;,然后在结构体中给GND赋值,GND <= '0';,最后将上面出错的一句改成u4 : adder4 PORT MAP(cin => GND, a => ai, b=>bi, s=>soi,Cout=>cou);。
全部回答
提示信息为语法错误: 变量的赋值才用 := 信号的赋值用 <= 应该就是这个错误!具体要看你的代码怎么写的,建议好好看看vhdl语法!
我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
项城野克地产中介代理NO.3地址在哪,我要去那
逆战炼狱原子力量为什么切换快
求大神们帮我看看我的这个安卓psp 模拟器游戏
桐梓县农村信用合作联社容光分社地址有知道的
论述依法治国的基本内涵和要求?
章丘到曹县的过路火车有吗
cf新角色金戈怎么样 新角色金戈价格及属性介
株洲市银监分局我想知道这个在什么地方
华为荣耀6plus开飞行模式关机充电,充满电会自
问道金装备能用超级黑水晶加属性吗
小学成绩报告单上副科成绩会影响小升初吗
邢事案件到法院了开过一次厅还要几天判决
我开的个麻将馆为什么人不多了,谁能告诉我
长方体和正方体表面积体积试卷作求五年级下册
四川省万顺科技梓潼店地址在哪,我要去那里办
推荐资讯
修水县山口镇农业综合服务中心在哪里啊,我有
我是11届武汉毕业的中专生,想问一下武汉的哪
胡氏骨汤怎么去啊,有知道地址的么
威海建设集团股份有限公司机械化市政工程总公
海王星踏板车新换的化油器着车后开始加油不走
如何把笔记本与电子白板连接起来,直接在笔记
爷爷的母亲是曾祖母,奶奶的母亲是曾外祖母,
航拍多少钱,航拍直升机,长沙航拍,高清航拍
我爱我家地址有知道的么?有点事想过去
水润苑地址有知道的么?有点事想过去
虐妹的galgame除了db和euphoria还有那些?
请联系客服qq84653311什么意思
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?