中易网

Quartus怎么根据VHDL程序生成元件?

答案:1  悬赏:0  
解决时间 2021-01-25 00:14
Quartus怎么根据VHDL程序生成元件?
最佳答案
首先在Files栏里找到你的程序,点右键,选择Create Symbol Files for Current File
生成例化文件为modulation.bsf
再生成一个图形文件top.bdf,双击空白处,出现Symbol对话框,在name栏上选浏览,找到例化文件modulation.bsf,可以当一个元件用,可连线。
具体见下图

我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
沙河小学(新乡延津)地址在什么地方,我要处理
想写一篇关于香港的作文?
苹果home键手感不好怎么办
本体和实体有什么区别
我要去南宁两天,南宁和周边有没有什么景点好
例如小羊从山上跑下来 造句
什么是A型衣服
求一本hp同人的名字,男主是斯内普,女主好像是
求最热门的恐怖片?
20度左右该穿什么
老外问我为什么领导面前不可以说"又左右逢源
顺德除甲醛一般报价多少钱
药材场村地址有知道的么?有点事想过去
我国刑法第87条是什么
杀戮都市:o.gantz:o.2016 3岁孩子的妈叫什
推荐资讯
怎样估计一件羊毛衫的电脑横机编织时间
丝袜美腿配什么鞋子最性感
全民k歌永久封号求解封
声优门胁舞以在里界的化名叫什么
共享单车没落后共享租衣火了吗?
属马姓于叫于泽杭好吗
伯碳自由基和甲基自由基是不是同种物质
英雄联盟兵线经验是怎么分配的?
银杏是什么时候能熟 能直接食用吗?
我的臀部是比一般人要大的,请问应该怎么减呢
郎咸平说美国银行有中国建行20%股份,是吗
1080P的电影和720P的有什么区别?
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?