中易网

求用VHDL设计一个秒表0—99秒显示两个数码管

答案:1  悬赏:80  
解决时间 2021-02-17 15:58
求用VHDL设计一个秒表0—99秒显示两个数码管
最佳答案
给你讲下思路吧,,对于数码管显示,用动态扫描法,对应的二极管亮法对应着一个数字,这个网上随便搜就有了!!在程序中你可以用CASE WHEN语句来实现,而对于外部输入的三个控制信号,也就相当于是一个计数器的控制信号,,你可以这样
entity shuma is
port(clk,reset:in std_logic;
start,cs:in std_logic;
disp1,disp2:out std_logic_vector(7 downto 0);
led:out std_logic);
end entity;
architecture art of shuma is
signal count1:integer range 0 to ......;自已算
signal count2,count3:integer range 0 to 99;
signal clk_div,led_flag:std_logic;
begin
process(clk,reset,count1)----首先进行时钟分频,分成1hz的;
begin
if reset='1' then
count1<=0;
elsif clk'event and clk='1' then
if count1=?? then---这个倍数根据你的FPGA板的时钟频率和1hz进行计算
count1<=0;clk_div<=not clk_div;
else count<=count+1;
end if;
end if;
end process;
process(clk_div,reset,count2) ---数码管计数进程;
begin
if reset='1' then
count2<=0;
elsif clk_div'event and clk_div='1' then
if count2=99 then
led_flag<='1';--LED亮的标志;
count2<=0;
else count2<=count2+1;led_flag<='0';
end if;
end if;
end process;
process(count)--将计数的数值显示在数码管上,,用动态扫描法,对应关系
begin 自已查;
case count is
when 0=>disp1,disp2...
end case;
同样的LED和测试程序 就是一个计数器,和上面类似,,,我要去上课啦,,希望以上那些可以帮助你!!!
我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
三生三世十里桃花里面的四哥是谁演的
翼支付9.28在武夷山横街头华榕超市有什么优惠
泉州最好吃的肉粽在哪里
Microsoft Excel 工作表变成ALCO3+ 文件怎么
我的脸怎么那么胖?
水电监理和电气检修哪个有前途?
在线等,学校要办一个关于自行车摆放,维修,自
经常拉肚子 为什么还是瘦不下呢
颜如名妆地址有知道的么?有点事想过去
中小学如何在田径运动会上取得好成绩
小米三 为什么都没有怎么下东西 按照以前 应
文学类文本阅读主刀小说写妻子冲他发脾气这个
从中山职业技术学院坐的士去城南客运站大概多
上古卷轴5 天际是什么类型的游戏?
money的音标是['mʌni],但怎么读?
推荐资讯
u盘传不了大文件 但在其他电脑上可以传输
农信卡 我昨天按错密码两次,我今天早上去自
从福州哪个客运站可以到达福清宏路镇桥头客运
微型计算机硬件系统有哪些基本部件
求解决关于安卓模拟器的问题,解决了人民币奖
Mac os x10.6系统下,废纸篓中经常出现一个名
直径d=80mm,键的尺寸b=20mm
我是1992年八月五号出生的。请问我现在到18周
2011上海35°以上的高温天有多少天?分别是哪
杠子鸡这个地址在什么地方,我要处理点事
用51单机片最小系统、l298n电机驱动模块和蓝
中通快递直邮单号查询 531713846548
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?