中易网

VHDL Error: Symbolic name "WIDTH_Q" is used but not defined什么意思?

答案:2  悬赏:0  
解决时间 2021-02-16 15:36
我在用VHDL编一个闹钟程序,其中计数器、分频器都出现了24个错误。大致描述是这样的:
第一类:Error: Symbolic name "WIDTH_Q" is used but not defined
第二类:Error: Can't find an inherited or default value for parameter "WIDTH_N" -- specify a parameter value
其中计数器程序如下:
--60counter(60进制计数器)
LIBRARY ieee;
USE ieee.Std_logic_1164.ALL;
USE ieee.Std_logic_unsigned.ALL;

ENTITY counter60 IS
PORT (clock, reset, enable : IN Std_logic;
count : OUT Std_logic_vector(7 downto 0);
cout:OUT Std_logic);
END counter60;

architecture v1 OF counter60 IS

begin
process(clock, reset, enable )
variable count1:Std_logic_vector (7 downto 0);
begin

if reset = '1' then
count1 := (others => '0');
if enable = '1' then
if count1 <59 then count1:=count1 + 1;
else count1:= (others => '0');
end if;
end if;
end if;
if count1=59 then cout<='1';
else cout<='0';
end if;
count <= count1;--
end process;
end v1;
烦请各位大牛帮忙答一下疑。
最佳答案
你里面的WIDTH_Q没有定义,,你是不是使用在实体类属里面!!
你的WIDTH_Q是不是跟WIDTH_N有关,导致WIDTH_N也出现错误,,,
在你给出的计数器,里面根本没用上clock,那要怎么计数,,,
把程序改成下面这样:
LIBRARY ieee;
USE ieee.Std_logic_1164.ALL;
USE ieee.Std_logic_unsigned.ALL;

ENTITY counter60 IS
PORT (clock, reset, enable : IN Std_logic;
count : OUT Std_logic_vector(7 downto 0);
cout:OUT Std_logic);
END counter60;

architecture v1 OF counter60 IS

begin
process(clock, reset, enable )
variable count1:Std_logic_vector (7 downto 0);
begin

if reset = '1' then
count1 := (others => '0');
elsif enable = '1' then
elsif clock'event and clock='1' then
if count1 <59 then count1:=count1 + 1;
else count1:= (others => '0');
end if;
end if;
if count1=59 then cout<='1';
else cout<='0';
end if;
count <= count1;--
end process;
end v1;
全部回答
你好! 把头文件USE ieee.std_logic_unsigned.all换成 USE ieee.numeric.all 另外补充一点,你的这个程序犯了一个很低级的错误,就是再对counter进行计数的时候,没有使用时序逻辑。建议使用clock,否则仿真,或者上板都很容易出问题 如有疑问,请追问。
我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
找本快意恩仇的书,即是想打便打,不是婆婆妈妈
大诚广告怎么去啊,有知道地址的么
为什么每次吃完麻辣火锅总会拉肚子
要么不出手,一出手必然拿下,用一句成语形容
我是广东户籍现在以办理持有《往来港澳通行证
寻找甘A.37639摩托车肇事逃逸
我选择领袖活动的目的
云直销模式是什么赚钱吗 云直销加入需要多
急求IBM X32主板电池位置
折价率什么意思?
刚开始养羊是养成年羊好呢还是养小羊好呢
哈尔滨商业大学是几本
为什么每个省都有一个字作简称
唐河县恒建建筑劳务有限公司在哪里啊,我有事
新三板公司对法定代表人有要求吗?
推荐资讯
“我们都是好姐妹”歌词
在深圳冬天里哪个海边能够看到日出或日落?
打死母蜘蛛真的会有小蜘蛛跑出来吗
为什么末法时代结束后没有佛法
惠房帮特价房超市地址有知道的么?有点事想过
一岁德牧吃很多但是很瘦而且毛色很差
把一个底面直径40cm高50cm的圆柱沿底面直径切
天天顺汽车维修服务有限责任公司在哪里啊,我
我在长沙每天骑电动车上班,经常碰到交警抓电
长春的本地人的论坛是什么论坛?很多人上,大
武定至禄丰高速公路什么时候动工
求问江苏的苏南苏中苏北分别指哪几个城市?
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?