中易网

mux16_1选择器的VHDL程序

答案:1  悬赏:70  
解决时间 2021-01-14 07:37
mux16_1选择器的VHDL程序
最佳答案
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mux16_1 is
port(s3,s2,s1,s0:in std_logic;
a15,a14,a13,a12,a11,a10,a9:in std_logic;
a8,a7,a6,a5,a4,a3,a2,a1,a0:in std_logic;
ena:in std_logic;
y:out std_logic);
end mux16_1;
architecture a of mux16_1 is
signal s:std_logic_vector(3 downto 0);
begin
s<=s3&s2&s1&s0;
y<=a0 when s="0000"else
y<=a0 when s="0000"else
a1 when s="0001"else
a2 when s="0010"else
a3 when s="0011"else
a4 when s="0100"else
a5 when s="0101"else
a6 when s="0110"else
a7 when s="0111"else
a8 when s="1000"else
a9 when s="1001"else
a10 when s="1010"else
a11 when s="1011"else
a12 when s="1100"else
a13 when s="1101"else
a14 when s="1110"else
a15 ;
end a;
我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
大家是喜欢一块普通面包,还是一份别人吃剩下
为什么京东快递一定要去武汉外单分炼中心
求18和27的最大公因数的四种方法
积善从来吉庆多 兰孙贵子早登科 三槐手植三公
刘老师画室(九江瑞昌市)地址在什么地方,想过
晋江综治办地址在哪里
在中文里,什么是状语
用手机怎样去录音
合成树脂瓦屋顶和普通瓦片屋顶哪个贵
《杂咏》[元]盍西村
贵州省惠县至贵州信义县有多少公里
艺林美术(龙泉路)怎么去啊,我要去那办事
3D打印机Z双轴怎么接线? 是直接到Z轴双插排
在MT4交易平台上炒外汇有什么好处
兄弟问你一个问题?
推荐资讯
省吃俭用过日子好吗
用点卡怎样充Q币?
最近总是食欲大开是怎么回事
非洲草原,在狮群中,所有雌狮都听雄狮的吗?
"IPC-A-610C/D"这个是否是指PCBA行标?
水和面粉的比例是1:4,知道两种混合的重量是4
围护桩、支护桩和灌注桩三者之间的区别是什么
哥特式字体下载了怎么安装
金华市金东区东孝中心小学地址在什么地方,我
铜炉的底座,读什么字
不惑之年还能学东西吗 我今年37岁仍有个IT梦
在同一线路上,接有两个电表,这两个电表读数
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?