中易网

使用vhdl语言设计D触发器

答案:1  悬赏:30  
解决时间 2021-02-17 12:00
使用vhdl语言设计D触发器
最佳答案
根据真值表的描述结合VHDL编程思想很好实现你想要的程序;
程序并不难,关键是你用心的程度;
我猜你也许也是一名我的同行……
自己的努力才是过硬的本领!!!!
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY RS_clk IS
PORT( S,R,res :IN std_logic;
Q,NOT_Q:out std_logic);
END RS_clk;
ARCHITECTURE behav OF RS_clk IS
signal sel1,sel2: std_logic;
BEGIN
process(res,sel1,sel2)
begin
if res='0' then sel1<='0';
sel2<='1';
elsif (S='1' and R='0') then sel1<='1';
sel2<='0';
elsif (S='0' and R='1') then sel1<='0';
sel2<='1';
elsif (S='0' and R='0') then sel1<=sel1;
sel2<=sel2;
end if;
Q<=sel1;
NOT_Q<=sel2;
end process;
END behav;
我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
数据权限控制系统默认的除了仓库,客户,还有
怎样才能看到15天的
600欧元等于多少挪威
我男朋友为什么一出差或者一出去休长假就消失
小学三年级数学,怎么算出那一年是平年,那一
淘宝网上卖的母女装的问题哈。。
求《孢子银河大冒险》牛逼存档
咸阳陈阳寨转盘从西咸新区的公交车
加拿大多伦多市的衣服卖的贵不贵啊?羽绒服大
新版360安全卫士蓝屏修复在哪
求睡前瘦腿动作
美颜化妆品店地址在什么地方,想过去办事
电脑重装后没有office办公软件了,今天重新下
一个男人初次见面直勾勾盯着女人脸看一般是为
现在有个夏什么手机
推荐资讯
英语,got off 和 get off什么区别?
姓薛名景铄好不好用 羊年男孩名字
为什么裸睡会没有安全感
二战后,以美苏“冷战”为背景的第一次大规模
英雄联盟中石头人打辅助有什么用
北京宋官窑拍卖怎么卖好
女鞋什么尺码比较多
小郭私房菜在什么地方啊,我要过去处理事情
骗子知道银行卡号和户名安全吗
2÷-1/8等于多少
男人开淘宝店丢人吗?
凤凰俩个字
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?