中易网

谁给做个30进制加法计数器,要程序。VHDL语言的。

答案:2  悬赏:80  
解决时间 2021-03-12 17:19
谁给做个30进制加法计数器,要程序。VHDL语言的。
最佳答案
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
LIBRARY lpm;
USE lpm.lpm_components.all;

entity counter is
port (
clk : in std_logic;
counter_out : out std_logic

);
end counter;

architecture coun_30 of counter is

signal counter_temp : std_logic;
signal num : std_logic_vector(4 downto 0);

begin
count_gen:component LPM_COUNTER
GENERIC MAP(LPM_WIDTH =>5,LPM_MODULUS=>30)
PORT MAP (clock=>clk,
q=>num,
aclr=>'0');
counter_temp_gen:process(clk,num)
begin
IF (clk'EVENT AND clk='1') THEN
if num="00000" then
counter_temp<='1';
ELSE
counter_temp<='0';
END IF;
end if ;
END PROCESS;

counter_out<=counter_temp;

end architecture coun_30;
全部回答
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt16 is port ( clk : in std_logic; rst: in std_logic; en: in std_logic; cout : out std_logic ); end cnt16; architecture behav of cnt16 is signal bcd :std_logic_vector(3 downto 0); begin process(clk, rst, en) variable cqi : std_logic_vector(3 downto 0); begin if rst = '1' then cqi := (others =>'0') ; elsif clk'event and clk='1' then if en = '1' then if cqi = "
我要举报
如以上问答内容为低俗、色情、不良、暴力、侵权、涉及违法等信息,可以点下面链接进行举报!
大家都在看
我农历3月初六生日,还要几天过生日
问两个简单ucos问题?谢谢!
贝蒙特武馆(湖南路店)地址在什么地方,想过去
故事里地址在哪,我要去那里办事
新房首次供暖为什么暖气片一半热一半不热
墩身模板立好后,怎么控制其垂直度?
食大碗土菜馆我想知道这个在什么地方
木火水土皆是假打一字
粘性大且能用水洗的胶水
过点(-2,1)且与(3,1)的距离等于4的直线方程
钢模板与混凝土粘结力计算方法
龙泉百合哪里有干洗衣服的店
鑫阳摩托修理在哪里啊,我有事要去这个地方
不怕,不怕,超度鬼魂可用尊胜佛母心咒嘛
x1-x2+ 4(x2-x1)/x1x2怎么得到(x2-x1)4-x1x2/
推荐资讯
达芬奇的《拈花圣母》像的来源
洪华饭店在什么地方啊,我要过去处理事情
怎么我上班的KTV里 没有工资 只能拿小费 你
这个是谁对x求导?f(x)还是f(cos√x)
老四五金机电商行我想知道这个在什么地方
谁会caxa数控车编程呀,
如何下载迅雷链接
PSP玩拳皇
国税局和地税局哪个好
有人说我中奖了,要交5000多块才能领,不交就
我的柯体性感吗?
曹植是谁曹植简介 历史上曹植七步成诗是否确
手机登qq时,显示手机磁盘不足,清理后重新登
刺客的套装怎么选啊?